Other Publications

Other Publications on CORDIC

  1. W. H. Specker, "A Class of Algorithms for ln x, exp x, sin x, cos x, arctan x", IEEE Transactions on Computers, Vol. C-19, 1970, pp. 153-157.
  2. D. Cochran, "Algorithm and Accuracy in the HP35," Hewlett Packard Journal, Vol. 23, 1972, pp. 10-11.
  3. Tien Chi Chen, "Automatic Computation of Exponentials, Logarithms, Ratios, and Square Roots," IBM J. Res. Develop., 1972, pp.380-388.
  4. H. Shimid and A. Bogocki, "Use Decimal CORDIC for Generation of Many Transcendental Functions", Elec. Des. Mag., 1973, pp. 64-73.
  5. A. M. Despain, "Fourier Transform Computations Using CORDIC Iterations," IEEE Transactions on Computers, Vol.23, 1974, pp. 993-1001.
  6. P. W. Baker, "More Efficient Radix-2 Algorithms for Some Elementary Functions," IEEE Transactions on Computers, Vol. C-24, 1975, pp. 1049-1054.
  7. P. W. Baker, "Suggestion for a Fast Binary Sine/Cosine Generator," IEEE Transactions on Computers, vol C-25, 1976, pp. 1134-1136.
  8. A. M. Despain, "Very Fast Fourier Transform Algorithm for Hardware Implementation," IEEE Transactions on Computers, Vol. C-28, 1979, pp. 331-341.
  9. Gene L. Haviland and Al A. Tuszynski, "A CORDIC Arithmetic Processor Chip," IEEE Transactions on Computers, Vol. C-29, 1980, pp. 68-79.
  10. H. M. Ahmed, Jean-Marc Delosme, and M. Morf, "Highly Concurrent Computing Structure for Matrix Arithmetic and Signal Processing," IEEE Comput. Mag., Vol. 15, 1982, pp. 65-82.
  11. Jean-Marc Delosme, "VLSI Implementation of Rotations in Pseudo-Euclidean Spaces," IEEE Int. Conf. Acoustic, Speech and Signal Processing, Vol. 2, pp. 927-930, 1983.
  12. C. W. Shelin, "Calculator Function Approximation," Amer. Math. Monthly, Vol. 90, 1983,
  13. Leon H. Sibul, "Application of Eigenvalue Preprocessors to Adaptive Beamforming and Signal Estimation," Proceedings of the 1984 Conference on Information Sciences and Systems, Princeton, NJ, March 1984, pp. 532-536
  14. Leon H. Sibul and A. L. Fogelsanger, "Application of Coordinate Rotation Algorithm to Singular Value Decomposition," IEEE Int. Symp. Circuits and Systems, pp. 821-824, 1984.
  15. E. Deprettere, P. Dewilde, and R. Udo, "Pipelined CORDIC Architecture for Fast VLSI Filtering and Array Processing," Proc. ICASSP'84, 1984, pp. 41.A.6.1-41.A.6.4
  16. Asif Naseem and P. David Fisher, "Modified CORDIC Algorithm," Proceedings - IEEE International Conference on Computer Design: VLSI in Computers, ICCD '84, October 1984, pp. 684-688
  17. Erling H. Wold and Alvin M. Despain, "Pipeline and Parallel-Pipeline FFT Processors for VLSI Implementations," IEEE Transactions on Computers, Vol. C-33, 1984, pp. 414-426
  18. H. Hahn, J. Buddefeld, B. J. Hosticka, and U.Kleine, "CORDIC Realization of Power-Wave Digital Filters," Proceedings of the 1985 European Conference on Circuit Theory and Design, Prague, Czech, September 1985, pp. 507-510
  19. Asif Naseem and P. David Fisher, "Modified CORDIC Algorithm," Proceedings - IEEE International Conference on Computer Design: VLSI in Computers, ICCD '84, October 1984, pp. 684-688 and Proceedings of the 7th Symposium on Computer Arithmetic, Los Alamitos, CA, June 1985, pp. 144-152
  20. Joseph Abruzzo, "Applicability of CORDIC Algorithm to Arithmetic Processing," Proceedings of the 18th Asilomar Conference on Circuits, Systems, and Computers, Santa Clara, CA, November 1984, pp. 79-86
  21. Ed F. Deprettere and Kishan Jainandunsing, "Design of a High Throughput Architecture for the Regular Excitation Reduction Speech Coding Algorithm," Proceedings - IEEE International Symposium on Circuits and Systems, Kyoto, Japan, June 1985, pp. 1521-1524
  22. Ed F. Deprettere and Kishan Jainandunsing, "Design and Implementation of a Concurrent Solver for N Coupled Least-Squares Fitting Problem," Proceedings - ICASSP 85, Tampa, FL, March 1985, pp. 200-203
  23. I-Chang Jou, Tze-Yun Sung, Yu-Hen Hu, and Tai-Ming Parng, "CORDIC Implementation of Pipelined Toeplitz System Solver," Proceedings - IEEE International Symposium on Circuits and Systems, Kyoto, Japan, June 1985, pp. 459-462
  24. Yu Hen Hu, "Pipelined CORDIC Architecture for the Implementation of Rational Based Algorithm", Proc. Int. Conf., VLSI Tech. Syst. and Appl., Taipei, Taiwan, 1985.
  25. Jean-Michel Muller, "Discrete Basis and Computation of Elementary Functions," IEEE Transactions on Computers, Vol. C-34, 1985, pp. 857-862
  26. J. van Ginderdeuren, L. van Paepegem, J. Lecoco, R. Govaerts, F. Catthoor, P. Vandebroek, S. Slock, T. A. C. M. Claasen, and H. de Man, "CORDIC-Based HIFI Digital FM Demodulator Algorithm for Compact VLSI Implementation," Electronics Letters, Vol. 21, 1985, pp. 1227-1229
  27. Tze-Yun Sung, Yu-Hen Hu, and H. J. Yu, "Doubly Pipelined CORDIC Array Processor for Solving Toeplitz Systems," Proceedings of the 23rd Annual Allerton Conference on Communication, Control and Computing, Monticello, IL, October 1985, pp. 764-773
  28. Michael Baxter and Zwie Amitai, "Parallel Transcendental-Function Processor Built from LSI Building Blocks," Midcon 86, Dallas, TX, September 1986, Pap. 17.2, 5p and Northcon 86, Seattle, WA, September 1986, pp. 4.2.1-4.2.4
  29. A. Enis Cetin and R. Ansari, "Digital Interpolation Beamforming Using IIR Filters," 1986 International Symposium Digest - Antennas and Propagation, Philadelphia, PA, June 1986, pp. 331-334
  30. Malaiperumal Sundaramurthy and Jay R. Southard, "Custom IC for Linear Detection," Proceedings of the 31st IEEE Computer Society International Conference, San Francisco, CA, March 1986, pp. 498-502
  31. Daniel Wong, William G. Hoefer, and Manuel F. Fernandez, "Advanced Parameter Estimation Coprocessor," IEEE Proceedings of the National Aerospace and Electronics Conference, Dayton, OH, May 1986, pp. 112-118
  32. Tze-Yun Sung, Tai-Ming Parng, Yu-Hen Hu, and Pin-Kuan Chou, "Design and Implementation of a VLSI CORDIC Processor," Proceedings - IEEE International Symposium on Circuits and Systems, San Jose, CA, May 1986, pp. 934-935
  33. Tze-Yun Sung, Yu-Hen Hu, and H. J. Yu, "Doubly Pipelined CORDIC Aray for Digital Signal Processing Algorithms," ICASSP 86, Tokyo, Japan, April 1986, pp. 1169-1172
  34. Y. H. Hu, T. Y. Sung, and H. J. Yu, "Doubly Pipelined CORDIC Array for Digital Signal Processing Algorithms," Proc. Int. Conf., Circuit Syst., San Jose, CA, May 1986 and Journal of the Chinese Institute of Engineers, Vol. 10, 1987, pp. 375-383
  35. J. R. Cavallaro and F. T. Luk, "Architectures for a CORDIC SVD Processor," Proc. SPIE, Real Time Signal Processing IX, Vol. 698, pp. 45-53, 1986.
  36. T. W. Curtis, Paul Allison, and James A. Howard, "A CORDIC processor for Laser Trimming," IEEE Micro, Vol.6, 1986, pp. 61-71
  37. Jichun Bu, Ed F. A. Deprettere, and Fons de Lange, "On the Optimization of Pipelined Silicon CORDIC Algorithm," Signal Processing III: Theories and Applications, Proceedings of EUSIPCO-86, Pt. 2, pp. 1227-1230, Hague, Netherlands, 1986.
  38. Yu Hen Hu, T. Y. Sung, and H. J. Yu, "Doubly Pipelined CORDIC Array for Digital Signal Processing Algorithms", Proc. Int. Conf. Acoust. Speech, Signal Processing, pp. 1169-1172, Tokyo, Japan, 1986.
  39. D. Quong, "Floating-Point uP Implements High-Speed Math Functions," EDN, Vol. 31, pp. 143-150, 1986.
  40. Jean-Marc Delosme, "The Matrix Exponential Approach to Elementary Operations," Proc. SPIE, Vol. 696, pp. 188-195, 1986.
  41. G. Schmidt, D. Timmermann, J. F. B\"{ohme, H. Hahn, B. J. Hostica, and G. Zimmer, "Parameter Optimization of the CORDIC-Algorithm and Implementation in a CMOS-Chip," Signal Processing III: Theories and Applications, Proceedings of EUSIPCO-86, Pt. 2, pp. 1219-1222, Hague, Netherlands, 1986.
  42. D. J. Coggins, D. J. Skellern, A. Dunn, and P. Allworth, "CAD Tools for Custom VLSI Assembly with Applications," Microelectronics Conference, VLSI 1987, Melbourne, Australia, April 1987, pp. 73-78
  43. Graham R. Nudd and John G. Vaudin, "Cellular VLSI Processing Architecture Using CORDIC Elements for Image Processing," IEE Colloquium on VLSI for Image Processing, London, UK, April 1987, pp. 3.1-3.6
  44. Ed F. Deprettere and K. Jainandunsing, "Orthogonal and J-Orthogonal Matrix Inversion Techniques," Proceedings - IEEE International Symposium on Circuits and Systems 1987, Philadelphia, PA, May 1987, pp. 143-146
  45. B. Yang, D. Timmermann, J. F. Bohme, H. Hahn, B. J. Hosticka, G. Schmidt, and G. Zimmer, "Special Computers: Graphics, Rototics," Proceedings of the Fourth International IEEE VLSI Multilevel Interconnection Conference, Santa Clara, CA, June 1987, pp. 727-730
  46. N. Takagi, T. Asada, and S. Yajima, "A Hardware Algorithm for Computing Sine and Cosine Using Redundant Binary Representation," Transactions IEEE Japan, Vol. J69-D, 1986, pp. 841-847 and Systems and Computers in Japan, Vol.18, 1987, pp. 1-9 (English translated version)
  47. J. M. Delosme, "A Processor for Two-Dimensional Symmetric Eigenvalue and Singular Value Arrays," Proc. 21st Asilomar Conf. Signal Syst. Comput., pp. 217-221, 1987.
  48. M. Cosnard and et al, "The FELIN Arithmetic Processor Chip", Proceedings of the 8th Symposium on Computer Arithmetic, pp. 107-112, 1987.
  49. Milos D. Ercegovac and Tom\'{as Lang, "Fast Cosine/Sine Implementation Using On-Line CORDIC," Proc. 21st Asilomar Conf. Signal Syst. Comput., pp. 222-226, 1987.
  50. L. E. Franks, "Digital Filters and Equalizers for Data Communication," Proceedings of the Second Tirrenia International Workshop on Digital Communications, Tirrenia, Italy, September 1985, pp. 205-218
  51. Milo\v{s D. Ercegovac and Tom\'{as Lang, "On the Fly Conversions of Redundant into Conventional Representations," IEEE Transactions on Computers, Vol. C-36, pp. 895-897, 1987.
  52. George C. S. Lee and P. R. Chang, "Maximum Pipelined CORDIC Architecture for Inverse Kinematic Position Computation," IEEE Journal of Robotics and Automation, Vol. RA-3, 1987, pp. 445-458
  53. David L. Flannery, L. Maugh Vail, and Steven C. Gustanfson, "Circulating Packet Threshold Logic to Implement MSD Logic Modules," Proceedings of SPIE, Vol. 698, San Diego, CA, 1987, pp. 263-275
  54. G. J. Vaudin G. R. Nudd, "3 $\mu$m VLSI Processing Element Using the CORDIC Algorithm," Electronics Letters, Vol. 23, 1987, pp. 1164-1166
  55. Raymond Vachss, "The CORDIC Magnification Function," IEEE Micro, Vol. 7, pp. 83-84, 1987.
  56. Yu Hen Hu, "Quantization Effects of the CORDIC Algorithm," ICASSP 88, New York, NY, April 1988, pp. 1822-1825
  57. M. Tummala, "New Adaptive Normalised Lattice Algorithm for Recursive Filters," Electronics Letters, Vol. 24, 1988, pp. 659-661
  58. B. Yang and J. F. Bohme, "Systolic Implementation of a General Adaptive Array Processing Algorithm," ICASSP 88, New York, NY, April 1988, pp. 2785-2788
  59. R. G. Harber, X. Hu, J. Li, and S. C. Bass, "Application of Bit-Serial CORDIC Computational Units to the Design of Inverse Kinematics Processor," Proceedings of the 1988 IEEE International Conference on Robotics and Automation, Philadelphia, PA, April 1988, pp. 1152-1157
  60. Joseph R. Cavallaro and Franklin T. Luk, "CORDIC Arithmetic for an SVD Processor," Proc. 8th Symp. Comput. Arithmet., Como, Italy, pp. 113-120, 1987 and Journal of Parallel and Distributed Computing, Vol. 5, pp. 271-290, 1988.
  61. M. D. Ercegovac and Tomas Lang, "Implementation of Fast Angle Calculation and Rotation Using Online CORDIC," Proceedings - IEEE International Symposium on Circuits and Systems, pp.2703-2706, 1988.
  62. M. Marchesi, G. Orlandi, and F. Piazza, "Systolic Circuit for Fast Hartley Transform," Proceedings - IEEE International Symposium on Circuits and Systems, Espoo, Finland, June 1988, pp. 2685-2688
  63. N. B. Chakrabarti, "Implementation of Trigonometric Transformations Using Plane Rotations," Journal of the Institution of Electronics and Telecommunication, Vol. 34, 1988, pp. 459-461
  64. A. A. J. de Lange, A. J. van der Howven, E. F. Deprettere, and J. Bu, "An Optimal Floating-Point Pipeline CMOS CORDIC Processor," Proceedings - IEEE International Symposium on Circuits and Systems, Espoo, Finland, 1988, pp. 2043-2047
  65. A. A. J. de Lange, A. J. van der Hoeven, Ed F. Deprettere, and J. Bu, "Automated Design of a Signal Processing Chip. The Floating Point Pipeline CORDIC Processor," Delft Progress Report, Vol. 12, 1988, pp. 233-244
  66. C. S. G. Lee, "CORDIC-Based Architectures for Robot Direct Kinematics and Jacobian Computations," Proceedings of the Third International Symposium on Intelligent Control, Arlington, VA, August 1988, pp. 609-614
  67. Stefaan Note, Jef Van Meerbergen, Francky Catthoor, and Hugo De Man, "Automated Synthesis of a High Speed CORDIC Algorithm with the Cathedral-III Compilation System," Proceedings - IEEE International Symposium on Circuits and Systems, Espoo, Finland, 1988, pp. 581-584
  68. J. R. Cavallaro and F. T. Luk, "Floating-Point CORDIC for Matrix Computations", Proceedings of 1988 IEEE International Conference on Computer Design: VLSI in Computers and Processors, Rye Brook, NY, 1988, pp. 40-42
  69. C. S. George Lee and Chun Lung Chen, "CORDIC-Based Pipelined Architecture for Robot Direct Kinematic Position Computation," Proceedings of IEEE International Conference on Systems Engineering, Fairborn, OH, August 1989, pp. 317-320
  70. I. -Chang Jou, "Linear Rotation Based Algorithm and Systolic Architecture for Solving Linear System Equations," Parallel Computing, Vol. 11, 1989, pp. 367-379
  71. Rainer Mehling and Raimund Meyer, "CORDIC-AU, a Suitable Supplementary Unit to a General-Purpose Signal Processor," AEU, Archiv fuer Elektronik und Uebertragungstechnik: Electronics and Communication, Vol. 43, 1989, pp. 394-397
  72. R. G. Harber, J. Li, X. Hu, and S. C. Bass, " Bit-Serial CORDIC Circuits for Use in a VLSI Silicon Compiler," Proceedings of IEEE International Symposium on Circuits and Systems, Portland, OR, May 1989, pp. 154-157
  73. Hassan M. Ahmed, "Efficient Elementary Function Generation with Multipliers," Proceedings of the 9th Symposium on Computer Arithmetic, Santa Monica, CA, 1989, pp. 52-59
  74. Hassan M. Ahmed and Kin-Ho Fu, "VLSI Array CORDIC Architecture," Proceedings of 1989 International Conference on Acoustics, Speech, and Signal Processing, Glasgow, Scotland, May 1989, pp. 2385-2388
  75. Hassan M. Ahmed, "Generalized Convergence Computation Method," Proceedings of 1989 International Conference on Acoustics, Speech, and Signal Processing, Glasgow, Scotland, May 1989, pp. 849-852
  76. A. A. J. de Lange, A. J. van der Hoeven, E. F. Deprettere, P. Dewilde, and J. Bu, "Design of a 50 MFLOP Arithmetic Chip for Massively Parallel Pipelined DSP Algorithms. The Floating Point Pipeline CORDIC Processor," Proceedings of 1989 European Conference on Circuit Theory and Design, Brighton, England, September 1989, pp. 410-414
  77. Shumpei Kawasaki, Mitsuru Watabe, and Shigeki Morinaga, "Floating-Point VLSI Chip for the TRON Architecture: An Architecture for Reliable Numerical Programming," IEEE Micro, Vol. 9, 1989, pp. 26-44
  78. Vincent Considine, "CORDIC Trigonometric Function Generator for DSP," Proceedings of 1989 International Conference on Acoustics, Speech, and Signal Processing, Glasgow, Scotland, May 1989, pp. 2381-2384
  79. Anna Z. Baraniecki, "Fast Computation of the Discrete Hartley Transform," Proceedings of 1989 International Conference on Acoustics, Speech, and Signal Processing, Glasgow, Scotland, May 1989, pp. 1282-1285
  80. John A. Eldon and Terrence Chow, "From Polar to Rectangular and Back," Proceedings of the Twenty-Third Annual Asilomar Conference on Signals, Systems and Computers, Pacific Grove, CA, October 1989, pp. 431-435
  81. K. J. Jones, "2D Systolic Solution to Discrete Fourier Transform," IEE Proceedings, Part E: Computers and Digital Techniques, Vol. 136, 1989, pp. 211-216
  82. Chun Lung Chen and C. S. G. Lee, "Computational Structures for Robot Kinematics and Dynamics Computations," Proceedings of the 1989 ASME International Computers in Engineering Conference and Exposition, Anheim, CA, April 1989, pp. 349-354
  83. Shuh-Chuan Tsay and I-Chang Jou, "VLSI Implementation of State-Space Equation Solver," International Journal of Systems Science, Vol. 20, 1989, pp. 1441-1450
  84. Yu Hen Hu and S. Naganathan, "An Angle Recording Method for CORDIC Algorithm Implementation", Proceedings of 1989 IEEE International Symposium on Circuits and Systems, Portland, OR, May 1989, pp. 175-178
  85. Dirk Timmermann, Helmut Hahn, and Bedrich J. Hosticka, "Modified CORDIC Algorithms with Reduced Iterations," Electronics Letters, Vol. 25, pp. 950-951, 1989.
  86. Michitaka Kameyama, Takao Mtsumoto, Hideki Egami, and Tatsuo Higuchi, "Implementation of a High Performance LSI for Inverse Kinematics Computation," IEEE International Conference on Robotics and Automation, Scottsdale, AZ, 1989, pp. 757-762
  87. Jeong-A Lee and Tom\'{as Lang, "Floating Point Implementation of Redundant CORDIC for QR Decomposition," Technical Report \#CSD-890044, Department of Computer Science, UCLA, 1989.
  88. Jean-Marc Delosme, "CORDIC Algorithms: Theory and Extensions," Proc. SPIE, Vol. 1152, pp. 131-145, 1989.
  89. Yu Hen Hu and S. Naganathan, "Efficient Implementation of Chirp Z-Transform Using a CORDIC Processor," Proceedings of the Twenty-Second Asilomar Conference on Signals, Systems and Computers, Pacific Grove, CA, October 1989, pp. 157-160
  90. Yu Hen Hu and S. Naganathan, "An Angle Recoding Scheme for Efficient Realization of Rotation-Based Digital Signal Processing Algorithms," Proceedings of the 32nd Midwest Symposium on Circuits and Systems, Champaign, IL, August 1989, pp. 349-352
  91. Dirk Timmermann, Helmut Hahn, and Bedrich J. Hosticka, "Hough Transform Using CORDIC Method," Electronics Letters, Vol. 25, pp. 105-206, 1989.
  92. Wei-Jou Duh and Ja-Ling Wu, "Implementing the Discrete Cosine Transform by Using CORDIC Techniques," Proceedings the International Symposium on VLSI Technology, Systems and Applications, Taipei, Taiwan, 1989, pp. 281-285
  93. Hiroshi Yoshimura, Tadashi Nakanishi, and Hironori Yamauchi, "50-MHz CMOS Geometrical Mapping Processor," IEEE Transactions on Circuits and Systems, Vol. 36, 1989, pp. 1360-1364
  94. F. Piazza, M. Marchesi, and G. Orlandi, "Fast DSP Circuit Based on FHT," Proceedings of 1989 IEEE International Symposium on Circuits and Systems, Portland, OR, May 1989, pp. 216-219
  95. Joseph R. Cavallaro and Anne C. Elster, "Complex Matrix Factorizations with CORDIC Arithmetic," Technical Report 89-1071, Department of Computer Science, Cornell University, 1989.
  96. Joseph R. Cavallaro, Michael P. Keleher, Russell H. Price, and Gregory S. Thomas, "VLSI Implementation of a CORDIC SVD Processor," Proceedings of the Eighth Biennial University/Government/Industry Microelectronics Symposium, Westborough, MA, June 1989, pp. 256-260
  97. Takashi Nakayama, Hisao Harigai, Shingo Kojima, Hiroaki Kaneko, Hatsuhide Igarashi, Tsuneo Toba, Yutaka Yamagami, and Yoichi Yano, "6.7-MFLOPS Floating-Point Coprocessor with Vector/Matrix Instructions," IEEE Journal of Solid-State Circuits, Vol. 24, 1989, pp. 1324-1330
  98. B. Yang and J. F. Boehme, "On a Systolic Implementation and the Numerical Properties of a Multiple Constrained Adaptive Beamformer," Proceedings of 1989 International Conference on Acoustics, Speech, and Signal Processing, Glasgow, Scotland, May 1989, pp. 2819-2822
  99. S. Y. Kung and J. N. Hwang, "Unifying Algorithm/Architecture for Artificial Neural Networks," Proceedings of 1989 International Conference on Acoustics, Speech, and Signal Processing, Glasgow, Scotland, May 1989, pp. 2505-2508
  100. Fred Williams, "The CORDIC Algorithm--Cast in Silicon," Electronic Engineering, Vol. 61, pp. 47-48, 1989.
  101. Chun Lung Chen and C. S. George Lee, "A Design of Pipelined Architecture for Computing Robot Direct Kinematics," Proceedings of the 32nd Midwest Symposium on Circuits and Systems, Champaign, IL, August 1989, pp. 625-628
  102. Hon Keung Kwan and Ying Chun Lui, "CORDIC Implementation of Normalized ARMA Schur Algorithm," Proceedings of the 32nd Midwest Symposium on Circuits and Systems, Champaign, IL, August 1989, pp. 369-372
  103. Dimitris E. Metafas and Costas E. Goutis, "DSP Processor with a Powerful Set of Elementary Arithmetic Operations Based on CORDIC and CCM Algorithm," Microprocessing and Microprogramming, Vol. 30, 1990, pp. 51-57
  104. R. G. Harber, S. C. Bass, and X. Hu, "Maximal Solution of Linear Systems of Equations and an Application in VLSI," Proceedings of 1990 IEEE International Symposium on Circuits and Systems, New Orleans, LA, May 1990, pp. 2337-2340
  105. R. Kunemund and et al, "CORDIC Processor with Carry Save Architecture", Proc. ESSCIRC'90, pp. 193-196, 1990.
  106. S. Naganathan and Hen Hu Yu, "Architectural Design Styles in the VLSI Implementation of Real Discrete Fourier Transform,", Proceedings of 1990 IEEE International Symposium on Circuits and Systems, New Orleans, LA, May 1990, pp. 2316-2319
  107. S. F. Hsieh and K. Yao, "Systolic Implementation of Windowed Recursive LS Estimation," Proceedings of 1990 IEEE International Symposium on Circuits and Systems, New Orleans, LA, May 1990, pp. 1931-1934
  108. Tobias G. Noll, "Carry-Save Arithmetic for High-Speed Digital Signal Processing," Proceedings of 1990 IEEE International Symposium on Circuits and Systems, New Orleans, LA, May 1990, pp. 982-986
  109. E. F. Deprettere, A. A. J. de Lange, and P. Dewilde, "The Synthesis and Implementation of Signal Processing Applications Specific VLSI CORDIC Arrays," Proceedings of 1990 IEEE International Symposium on Circuits and Systems, New Orleans, LA, May 1990, pp. 974-977
  110. Israel Koren and Ofra Zinaty, "Evaluating Elementary Functions in a Numerical Coprocessor Based on Rational Approximations," IEEE Transactions on Computers, Vol. 39, pp. 1030-1037, 1990.
  111. Ja-Ling Wu and Wei-Jou Duh, "Novel Concurrent Architecture to Implement the Discrete Cosine Transform Based on Index Partitions," International Journal of Electronics, Vol. 68, 1990, pp. 165-174
  112. Y. H. Hu and S. Naganathan, "A Novel Implementation of Chirp Z-Transformation Using a CORDIC Processor," IEEE Transactions on ASSP, Vol. 38, pp. 352-354, 1990.
  113. Yu Hen Hu and H. M.Chern, "An Efficient VLSI CORDIC Array Structure Implementation of Toeplitz Eigen System Solvers," Proceedings of the 1990 International Conference on Acoustics, Speech, and Signal Processing, Albuquerque, New Mexico, April 1990, pp. 1575-1578
  114. A. A. J. de Lange, E. F. Deprettere, A. van der Veen, and J. Bu, "Real Time Applications of the Floating Point Pipeline CORDIC Processor in Massive-Parallel Pipelined DSP Algorithms," Proceedings of the 1990 International Conference on Acoustics, Speech, and Signal Processing, Albuquerque, New Mexico, April 1990, pp. 1013-1016
  115. Milos D. Ercegovac and Tom\'{as Lang, "Redundant and On-Line CORDIC: Application to Matrix Triangularization and SVD," Technical Report \#CSD-870046, Department of Computer Science, UCLA, 1987 and IEEE Transactions on Computers, Vol. 39, pp. 725-740, 1990.
  116. Hai Xiang Lin and Henk J. Sips, "On-Line CORDIC Algorithms," IEEE Transactions on Computers, Vol. 39, pp. 1038-1052, 1990 and Proceedings of the 9th Symposium on Computer Arithmetic, Santa Monica, CA, September 1989, pp. 26-33
  117. Paul Chown, "Notes on the Design of a Barrel Shifter for the Warwick Pipelined CORDIC," Research Report \#161, Department of Computer Science, University of Warwick, Coventry CV4 7AL, UK, 1990.
  118. Paul Chown, "VLSI Design of a Pipelined CORDIC Processor," Research Report \#164, Department of Computer Science, University of Warwick, Coventry CV4 7AL, UK, 1990.
  119. Gareth Dixon, "Array Processor Implementation of the CORDIC Algorithm," Proceedings of Colloquium on VLSI Signal Processing Architectures, London, England, 1990, p. 8
  120. Jeong-A Lee and Tomas Lang, "Advanced Signal-Processing Algorithms, Architectures, and Implementations," Proceedings of SPIE, Vol. 1348, San Diego, CA, July 1990, pp. 430-447
  121. K. J. Jones, "High-Throughput, Reduced Hardware Systolic Solution to Prime Factor Discrete Fourier Transform Algorithm," IEE Proceedings, Part E: Computers and Digital Techniques, Vol. 137, 1990, pp. 191-196
  122. Jean-Marc Delosme and Shen-Fu Hsiao, "CORDIC Algorithms in Four Dimensions," Proceedings of SPIE, Vol. 1348, San Diego, CA, July 1990, pp. 349-360
  123. Jean-Marc Delosme, "Bit-Level Systolic Algorithm for the Symmetric Eigenvalue Problem," Proceedings of the 1990 International Conference on Application Specific Array Processors, Princeton, NJ, September 1990, pp. 770-781
  124. Mani Soma and Thomas Alexander, "Mixed Digital/Analog VLSI Array Architectures for Image Processing," Proceedings of SPIE, Vol. 1360, Lausanne, Switzland, October 1990, pp. 341-348
  125. Wei-Jou Duh and Ja-Ling Wu, "Constant-Rotation DCT Architecture Based on CORDIC Techniques," International Journal of Electronics, Vol. 69, 1990, pp. 583-593
  126. M. O'Donnell, "Phase Aberration Correction System for Real-Time Medical Ultrasound Imaging," Proceedings of the Twenty-fourth Asilomar Conference on Signals, Systems and Computers, Pacific Grove, CA, November 1990, pp. 8-10
  127. M. O'Donnell and W. E. Engeler, "Real-Time Phase Aberration Correction System for Medical Ultrasound Imaging," Proceedings of the 12th Annual International Conference ofthe IEEE Engineering in Medicine and Biology Society, Philadelphia, PA, November 1990, pp. 278-280
  128. R. Meyer and R. Mehling, "Architecture and Performance of a New Arithmetic Unit for the Computation of Elementary Functions," Proceedings of the 1990 International Conference on Acoustics, Speech, and Signal Processing, Albuquerque, New Mexico, 1990, pp. 1783-1786
  129. A. S. Bhandal, G. E. Dixon, and J. Fox, "VLSI Array Architectures for Digital Signal Processing,", GEC Review, Vol. 6, 1991, pp. 131-140
  130. Ping Tak P. Tang, "Table-Lookup Algorithms for Elementary Functions and Their Error Analysis," Proceedings of the 10th Symposium on Computer Arithmetic, pp. 232-236, 1991.
  131. D. E. Metafas and C. E. Goutis, "A Floating Point Pipeline CORDIC Processor with Extended Operation Set," Proceedings of the 1991 IEEE International Symposium on Circuits and Systems, Singapore, Singapore, June 1991, pp. 3066-3069
  132. Warren E. Ferguson, Jr. and Tom Brightman, "Accurate and Monotone Approximations of Some Transcendental Functions," Proceedings of the 10th Symposium on Computer Arithmetic, pp. 237-244, 1991.
  133. Paul K.-G. Tu and Milos D. Ercegovac, "Application of On-Line Arithmetic Algorithms to the SVD Computation: Preliminary Results," Proceedings of the 10th Symposium on Computer Arithmetic, pp. 246-255, 1991.
  134. Hisakazu Kikuchi, Makoto Nakashizuka, and Hiromichi Watanabe, "Design of a Pipelined Plane-Rotation Algorithm Based on the Error Analysis," Electronics and Communications in Japan, Part III: Fundamental Electronic Science, Vol. 74, 1991, pp. 53-65
  135. Shen-Fu Hsiao and Jean-Marc Delosme, "The CORDIC Householder Algorithm," Proceedings of the 10th Symposium on Computer Arithmetic, pp. 256-263, 1991.
  136. A. A. J. de Lange and E. F. Deprettere, "Design and Implementation of a Floating-Point Quasi-Systolic General Purpose CORDIC Rotator for High-Rate Parallel Data and Signal Processing", Proceedings of the 10th Symposium on Computer Arithmetic, pp. 272-281, 1991.
  137. Xiaobo Hu, Ronald G. Harber, and Steven C. Bass, "Expanding the Range of Convergence of the CORDIC Algorithm," IEEE Transactions on Computers, Vol. 40, pp. 13-21, 1991.
  138. Guorong Hu, Yungong Sun, and Chaohuan Hou, "ASIC Design for Adaptive Beamforming," Proceedings of the China 1991 International Conference on Circuits and Systems, Shenzhen, China, June 1991, pp. 832-834
  139. Dirk Timmermann, Helmut Hahn, Bedrich J. Hosticka, and B. Rix, "A New Addition Scheme and Fast Scaling Factor Compensation Methods for CORDIC Algorithms," INTEGRATION VLSI J., Vol. 11, pp.85-100, 1991.
  140. Alle-Jan van der Veen and Ed F. Deprettere, "Parallel VLSI Matrix Pencil Algorithm for High Resolution Direction Finding," IEEE Transactions on Signal Processing, Vol. 39, 1991, pp. 383-394
  141. Long-Wen Chang and Shen-Wen Lee, "Systolic Arrays for the Discrete Hartley transform," IEEE Transactions on Signal Processing, Vol. 39, pp. 2411-2418, 1991.
  142. Peter Strobach, "The Square-Root Schur RLS Adaptive Filter," Proceedings of the 1991 International Conference on Acoustics, Speech, and Signal Processing, Toronto, Canada, May 1991, pp. 1845-1848
  143. Gerard C. Gielis, Rudy van de Plassche, and Johan van Valburg, "A 540-MHz 10-b Polar-to-Cartesian Converter," IEEE Journal of Solid-State Circuits, Vol. 26, pp. 1645-1650, 1991.
  144. F. Kocsis, "A Fully Pipelined, High Speed DFT Architecture," Proceedings of the 1991 International Conference on Acoustics, Speech, and Signal Processing, Toronto, Canada, May 1991, pp. 1569-1572
  145. Sau-Gee Chen and Jih-Feng Lin, "Efficient Implementation of the Normalized Recursive Least-Square Lattice Filter," Proceedings of the 1991 International Conference on Acoustics, Speech, and Signal Processing, Toronto, Canada, May 1991, pp. 1565-1568
  146. Naofumi Takagi, Tohru Asada, and Shuzo Yajima, "Redundant CORDIC Methods with a Constant Scale Factor for Sine and Cosine Computation," IEEE Transactions on Computers, Vol. 40, pp. 989-995, 1991.
  147. Dirk Timmermann, Helmut Hahn, and Bedrich J. Hosticka, "A Programmable CORDIC Chip for Digital Signal Processing Applications," IEEE Journal of Solid-State Circuits, Vol. 26, pp. 1317-1321, 1991.
  148. Dirk Timmermann, Helmut Hahn, and Bedrich J. Hosticka, "A Low Latency Time CORDIC Algorithm with Increased Parallelism," Proceedings of the 1991 IEEE International Symposium on Circuits and Systems, Singapore, Singapore, May 1991, pp. 2975-2978
  149. Jeong-A Lee and Tom\'{as Lang, "SVD by Constant Factor-Redundant-CORDIC," Proceedings 10th Symposium on Computer Arithmetic, Grenoble, France, pp. 264-271, 1991.
  150. Titi Trandafir and Sorin Gheonea, "Practical Considerations about the Evaluation of Some Functions," Proceedings of the 6th Mediterranean Electrotechnical Conference, Ljubljana, Slovenia, Yugoslavia, May 1991, pp. 359-362
  151. Tobias G. Noll, "Carry-Save Architectures for High-Speed Digital Signal Processing," Journal of VLSI Signal Processing, Vol. 3, 1991, pp. 121-140
  152. Cesare Alippi, "Determination of Angular Values and Parameters in Flat Surfaces. From the Mathematical Approach to the CORDIC Architecture," Microprocessing and Microprogramming, Vol. 32, 1991, pp. 349-355
  153. Nariankadu D. Hemkumar, Kishore Kota, and Joseph R. Cavallaro, "CAPE--VLSI Implementation of a Systolic Processor Array: Architecture Design and Testing," Proceedings of the 9th Biennial University/Government/Industry Microelectronics Symposium, Melbourne, FL, June 1991, pp. 64-69
  154. S. C. Bass, G. M. Butler, R. L. Williams, F. Barlos, and D. R. Miller, "A Bit-Serial, Floating Point CORDIC Processor in VLSI," Proceedings of the 1991 International Conference on Acoustics, Speech, and Signal Processing, Toronto, Canada, May 1991, pp. 1165-1168
  155. Johann F. Boehme, D. Timmermann, H. Hahn, and Bedrich J. Hosticka, "CORDIC Processor Architectures," Proceedings of SPIE, Vol. 1566, San Diego, CA, July 1991, pp. 208-219
  156. Michitaka Kameyama, Tadao Amada, and Tatsuo Higuchi, "Highly Parallel Collision Detection VLSI Processor for Intelligent Robots," Proceedings of the 1991 Symposium on VLSI Circuits, Oiso, Japan, May 1991, pp. 29-30
  157. Phillip A. Regalia, "An Improved Lattice-Based Adaptive IIR Notch Filter," IEEE Transactions on Signal Processing, Vol. 39, 1991, pp. 2124-2128
  158. Michitaka Kameyama, Tadao Amada, and Tatsuo Higuchi, "Highly Parallel Collision Detection Processor for Intelligent Robots," IEEE Journal of Solid-State Circuits, Vol. 27, pp. 500-506, 1992.
  159. Herbert Dawid and Heinrich Meyr, "High Speed Bit-Level Pipelined Architectures for Redundant CORDIC Implementation," Proceedings of the International Conference on Application Specific Array Processors, Berkeley, CA, August 1992, pp. 358-372
  160. C. M. Rader, "MUSE - a Systolic Array for Adaptive Nulling with 64 Degrees of Freedom, Using Givens Transformations and Wafer Scale Integration," Proceedings of the International Conference on Application Specific Array Processors, Berkeley, CA, August 1992, pp. 277-291
  161. Helmut Hahn, Bedrich J. Hosticka, and Dirk Timmermann, "Alternative Signal Processor Arithmetic for Modified Implementation of a Normalised Adaptive Channel Equaliser," IEE Proceedings. Part F, Radar and Signal Processing, Vol. 139, pp. 36-42, 1992.
  162. Yu Hen Hu and H. E. Lian, "CALF: a CORDIC Adaptive Lattice Filter," IEEE Transactions on Signal Processing, Vol. 40, pp. 990-993, 1992.
  163. Yu Hen Hu, "CORDIC-Based VLSI Architectures for Digital Signal Processing," IEEE Signal Processing Mag., 1992, pp. 16-35
  164. Yu Hen Hu, "The Quantization Effects of the CORDIC Algorithm," IEEE Transactions on Signal Processing, Vol. 40, pp. 834-844, 1992
  165. Z. -J. Zhao, "In-Place Radix-3 Fast Hartley Transform Algorithm," Electronics Letters, Vol. 28, 1992, pp. 319-321
  166. Matthew O'Donnell and William E. Engeler, "Correlation-Based Aberration Correction in the Presence of Inoperable Elements," IEEE Transactions on Ultrasonics, Ferroelectrics, and Frequency Control, Vol. 39, 1992, pp. 700-707
  167. Dirk Timmermann, Helmut Hahn, and Bedrich J. Hosticka, "Low Latency Time CORDIC Algorithms," IEEE Transactions on Computers, Vol. 41, pp. 1010-1015, 1992
  168. Jean-Marc Delosme, "Bit-Level Systolic Algorithms for Real Symmetric and Hermitian Eigenvalue Problems," Journal of VLSI Signal Processing, Vol. 4, 1992, pp. 69-88
  169. Jeong-A Lee and Tom\'{as Lang, "Constant-Factor Redundant CORDIC for Angle Calculation and Rotation," IEEE Transactions on Computers, Vol. 41, pp. 1016-1025, 1992.
  170. Phillip A. Regalia and Philippe Loubaton, "Rational Subspace Estimation Using Adaptive Lossless Filters," IEEE Transactions on Signal Processing, Vol. 40, pp. 2392-2405, 1992.
  171. A. Teuner, and B.J. Hosticka, "Adaptive Filter for Two-Dimensional Gabor Transformation and Its Implementation," IEE Proceedings, Part I: Communications, Speech and Vision, Vol. 140, 1993, pp. 2-6
  172. K. J. Jones, "Parallel DFT Computation on Bit-serial Systolic Processor Arrays," IEE Proceedings. Part E, Computers and Digital Techniques, Vol. 140, pp. 10-18, 1993.
  173. Keith John Jones, "Bit-Serial CORDIC DFT Computation with Multidimensional Systolic Processor Arrays," IEEE Journal of Oceanic Engineering, Vol. 18, 1993, pp. 508-519
  174. Jeong A. Lee, Kiseon Kim, "Computing Architecture for DFT-Based HF-Band Energy Detection," International Journal of Electronics, Vol. 75, 1993, pp. 817-831
  175. Yu Hen Hu and S. Naganathan, "An Angle Recording Method for CORDIC Algorithm Implementation", IEEE Transactions on Computers, Vol. 42, pp. 99-102, January 93
  176. Christophe Mazenc, Xavier Merrheim, and Jean-Michel Muller, "Computing Functions Arccos and Arcsin Using CORDIC," IEEE Transactions on Computers, Vol. 42, pp. 118-122, 1993.
  177. Jean Duprat and Jean-Michel Muller, "The CORDIC Algorithm: New Results for Fast VLSI Implementation," IEEE Transactions on Computers, Vol. 42, pp. 168-178, 1993.
  178. P.K. Meher and G. Panda, "Novel Recursive Algorithm and Highly Compact Semisystolic Architecture for High Throughput Computation of 2-D DHT," Electronics Letters, Vol. 29, 1993, pp. 883-885
  179. P.K. Meher, J.K. Satapathy, and G. Panda, "Efficient Systolic Solution for a New Prime Factor Discrete Hartley Transform Algorithm," IEE Proceedings, Part G: Circuits, Devices and Systems, Vol. 140, 1993, pp. 135-139
  180. Long-Wen Chang, "Roundoff Error Problem of the Systolic Array for DFT," IEEE Transactions on Signal Processing, Vol. 41, 1993, pp. 395-398
  181. Raymond E. Fowkes, "Hardware Efficient Algorithms for Trigonometric Functions," IEEE Transactions on Computers, Vol. 42, pp. 235-239, 1993.
  182. Kishore Kota and Joseph R. Cavallaro, "Numerical Accuracy and Hardware Tradeoffs for CORDIC Arithmetic for Special-Purpose Processor," IEEE Transactions on Computers, Vol. 42, pp. 769-779, 1993.
  183. Jurgen Goetze, Steffen Paul, and Matthias Sauer, "An Efficient Jacobi-Like Algorithm for Parallel Eigenvalue Computation," IEEE Transactions on Computers, Vol. 42, pp. 1058-1065, 1993.
  184. Gerber J. Hekstra and Ed F. A. Deprettere, "Floating Point CORDIC," Proceedings of the 11th Symposium on Computer Arithmetic, pp. 130-137, 1993.
  185. Nariankadu D. Hemkumar and Joseph R. Cavallaro, "Efficient Complex Matrix Transformations with CORDIC," Proceedings of the 11th Symposium on Computer Arithmetic, pp. 122-129, 1993
  186. Xiaobo Hu and Steven C. Bass, "Neglected Error Source in the CORDIC Algorithm," Proceedings of the 11th Symposium on Computer Arithmetic, 1993, pp. 766-769
  187. D.E. Metafas, E.P. Mariatos, S.S. Nikolaidis, and C.E. Goutis, "Implementation of Given's Rotation Processors for DSP Real-Time Applications," Microprocessing and Microprogramming, Vol. 38, 1993, pp. 351-357
  188. D.E. Metafas, S.S. Nikolaidis, and C.E. Goutis, "Real Time Cepstrum Computation Based on an Advanced CORDIC Processor," Microprocessing and Microprogramming, Vol. 37, 1993, pp. 57-60
  189. John A. Harding, Tomas Lang, and Jeong-A. Lee, "Comparison of Redundant CORDIC Rotation Engines," Proceedings of the 1991 IEEE International Conference on Computer Design - VLSI in Computers and Processors, Cambridge, MA, 1993, pp. 556-559
  190. J. D. Bruguera, E. Antelo, and E.L. Zapata, "Design of a Pipelined Radix 4 CORDIC Processor," Parallel Computing, Vol. 19, July 1993, pp. 729-744
  191. S.S. Nikolaidis, D.E. Metafas, and C.E. Goutis, "CORDIC Based Pipeline Architecture for All-Pass Filters," Proceedings of the 1993 IEEE International Symposium on Circuits and Systems, Chicago, IL, 1993, pp. 1917-1920
  192. Matthias Sauer, Ernst Bernard, and Josef A. Nossek, "Block Sequential CORDIC Architectures," Proceedings of the 1993 IEEE International Symposium on Circuits and Systems, Chicago, IL, 1993, pp. 1591-1594
  193. Jiun-In Guo, Chi-Min Liu, and Chein-Wei Jen, "CORDIC-Based VLSI Array for Computing 2-D Discrete Hartley Transform," Proceedings of the 1993 IEEE International Symposium on Circuits and Systems, Chicago, IL, 1993, pp. 1571-1574
  194. Jue-Husan Hsiao, Liang-Gee Chen, Tzi-Dar Chiueh, and Chun-Te Chen, "Novel Systolic Array Design for the Discrete Hartley Transform with High Throughput Rate," Proceedings of the 1993 IEEE International Symposium on Circuits and Systems, Chicago, IL, 1993, pp. 1567-1570
  195. D. Panescu, Y.H. Hu, and W.J. Tompkins, "Fast Pipelined CORDIC-Based Adaptive Lattice Filter," Proceedings of 1993 IEEE International Conference on Acoustics, Speech and Signal Processing, Minneapolis, MN, 1993, pp. III.424-III.427
  196. Juergen Goetze, Steffen Paul, and Matthias Sauer, "CORDIC-Based Jacobi-Like Algorithm for Eigenvalue Computation," Proceedings of 1993 IEEE International Conference on Acoustics, Speech and Signal Processing, Minneapolis, MN, 1993, pp. III.296-III.298
  197. M. Terre and M. Bellanger, "Systolic QRD-Based Algorithm For Adaptive Filtering and Its Implementation," Proceedings of 1993 IEEE International Conference on Acoustics, Speech and Signal Processing, Minneapolis, MN, 1993, pp. I.373-I.376
  198. Ian D. Walker and Joseph R. Cavallaro, "Parallel VLSI Architectures for Real-Time Kinematics of Redundant Robots," Proceedings of the IEEE International Conference on Robotics and Automation, Atlanta, GA, 1993, pp. 870-877
  199. Jean-Claude Bajard, Sylvanus Kla, and Jean-Michel Muller, "BKM: a New Hardware Algorithm for Complex Elementary Functions," Proceedings of the 11th Symposium on Computer Arithmetic, 1993, pp. 146-153
  200. D. Timmermann, B. Rix, and H. Hahn, "A CMOS Floating-Point Vector-Arithmetic Unit," IEEE Journal of Solid-State Circuits, Vol. 29, 1994, pp. 634-639
  201. R. J. Andraka, "Building a High Performance Bit Serial Processor in an FPGA," Proceedings of Design SuperCon '96, Jan 1996. pp5.1 - 5.21
  202. V. Kantabutra, "On hardware for computing Exponential and Trigonometric Functions," IEEE Transactions on Computers, vol. 45, no. 3, march, 1996, pp. 328-339.